You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
 
 

4 lines
54 B

obj-m += gl-mifi-mcu.o
gl-mifi-mcu-objs := module.o